50695 晶圓代工,戰火蔓延

2018高清国产一区二区三区-国产黄色视频免费在线观看-欧美激情欧美精品一区二区-免费国产一级片内射老妇

服務熱線:400-858-9000 咨詢/投訴熱線:
國內專業的一站式創業服務平臺
晶圓代工,戰火蔓延
06/24
長期以來,在摩爾定律的驅動下,晶圓代工廠一直緊追芯片制程工藝一路向前。時至今日,這場決賽的最后僅剩臺積電、三星和英特爾,在先進制程節點展開肉搏。
本文來自于微信公眾號“半導體行業觀察”(ID:icbank),作者: L晨光,投融界經授權發布。

近年(nian)來,在人工智能(neng)(neng)(neng)、移動和高性能(neng)(neng)(neng)計(ji)算應用的(de)(de)驅動下(xia),半導體市場逐漸復(fu)蘇(su),市場對于先進制程產能(neng)(neng)(neng)的(de)(de)需(xu)求非常旺(wang)盛。據數(shu)據預測(ce),全球芯片制造產能(neng)(neng)(neng)中,10nm以下(xia)制程占比將(jiang)會大幅提(ti)升,將(jiang)由2021年(nian)的(de)(de)16%上升至2024年(nian)近30%。

另一方面,瞄準先進制程的(de)幾大(da)巨頭間的(de)競爭也(ye)十分激烈,都意在(zai)通過展示綜合實(shi)力獲得(de)更多市場份額。

在(zai)這場(chang)晶圓代工(gong)行業的(de)反擊和(he)保衛戰中(zhong),臺積(ji)電(dian)、三星和(he)英(ying)(ying)特爾都在(zai)不斷創新,爭奪(duo)(duo)制程技術的(de)領先地位。臺積(ji)電(dian)會繼續“封神”嗎(ma)?多面出擊的(de)三星和(he)英(ying)(ying)特爾,又將奪(duo)(duo)得幾(ji)杯(bei)羹?

臺積電披露工藝路線與前景展望

作為半導體行業(ye)的領導者,臺積電在過(guo)去(qu)的30多年中立下赫赫戰功(gong),成為世界第一(yi)大芯片代工企業(ye)。

在半導體科(ke)技的快速(su)演(yan)進中,臺(tai)積電一直是全球先(xian)進制程(cheng)技術的引領(ling)者。

臺積電(dian)工(gong)藝路線圖披露(lu)

近期,臺積電又(you)宣布了一系(xi)列(lie)雄心勃勃的(de)工(gong)藝路線圖更新,預(yu)示著半導體(ti)制造即將邁入一個(ge)前所未(wei)有(you)的(de)時代——?ngstr?m級工(gong)藝節(jie)點到來。

根據其工藝路線圖(tu)顯示(shi)(shi),在(zai)2025年至2026年間,臺積電即(ji)將推出的(de)幾項關鍵(jian)工藝技術,包括(kuo)N3X、N2、N2P,以及革(ge)命性的(de)A16工藝,揭示(shi)(shi)它們如(ru)何推動技術邊界,以及這(zhe)些進步對電子產品性能、能耗和(he)未來技術發展的(de)影響。

晶圓代工,戰火蔓延

臺積電工藝路(lu)線圖(tu)

N3P:作(zuo)為N3工藝(yi)的增強版,N3P在性能、功(gong)耗和密(mi)度方(fang)面(mian)進一步優化(hua),為客(ke)戶(hu)提供(gong)更(geng)多選擇。

N3X:面向極致性(xing)能的3納米級工(gong)藝,通過降(jiang)低電壓至0.9V,在相(xiang)同(tong)頻率下能實現7%的功耗降(jiang)低,同(tong)時在相(xiang)同(tong)面積下提(ti)升5%的性(xing)能或增加(jia)約10%的晶體(ti)管密度。

N2:臺積(ji)電(dian)首個(ge)采用全柵(GAA)納米片晶(jing)(jing)體管技(ji)術的(de)節(jie)點(dian),GAA晶(jing)(jing)體管通過環繞溝(gou)道四周(zhou)的(de)柵極提高(gao)了對(dui)電(dian)流的(de)控制能力(li),從而顯(xian)著提升PPA特性(xing),相較于N3E有明顯(xian)進(jin)步,N2可(ke)使功耗降低25%-30%,性(xing)能提升10%-15%,晶(jing)(jing)體管密(mi)度(du)增加15%。

N2P:N2的性(xing)能增強版本(ben),進一步(bu)優化功耗(hao)和性(xing)能,在相同晶(jing)體管數量和頻率下,N2P預計能降低5%-10%的功耗(hao),同時提升(sheng)5%-10%的性(xing)能。適合對這兩方面都有較(jiao)高要求的應用。

A16:臺積電的A16工藝首次引入背面供電網絡技術(BSPDN),這一(yi)創新直接將(jiang)電源供應集(ji)成(cheng)到晶體(ti)管(guan)的背面,極大(da)地提升了電力(li)傳輸效率和晶體(ti)管(guan)密(mi)度;同時結合GAAFET納米片晶體(ti)管(guan),目標(biao)是在性能和能效上有顯(xian)著提升。A16將(jiang)成(cheng)為首個“埃級”工藝節點,標(biao)志著半導(dao)體(ti)制造進入一(yi)個新的時代。

晶圓代工,戰火蔓延

與N2P相比(bi),A16在相同電(dian)壓和復(fu)雜度下(xia),預計性(xing)能(neng)提升(sheng)8%-10%,功耗(hao)降低15%-20%,芯片密度提升(sheng)了(le)1.1倍。這一技術的(de)引入,將為(wei)高(gao)性(xing)能(neng)計算產品,尤其是那些(xie)對(dui)能(neng)源效率和信號(hao)路徑有(you)極高(gao)要求的(de)應用,開啟(qi)新的(de)可能(neng)性(xing)。

綜合來(lai)(lai)看,臺(tai)積電(dian)這一系列工藝技術(shu)(shu)創新不僅展(zhan)示了其在半導(dao)體制(zhi)造領(ling)域的(de)(de)持(chi)續領(ling)導(dao)力,更為未來(lai)(lai)電(dian)子(zi)產品的(de)(de)性能升級(ji)(ji)、能源效(xiao)率(lv)提升以及更廣泛(fan)的(de)(de)技術(shu)(shu)革新奠定了堅實基(ji)礎。尤(you)其是(shi)隨著“埃”級(ji)(ji)工藝節點的(de)(de)到來(lai)(lai),半導(dao)體行業正(zheng)步(bu)入一個充滿挑(tiao)戰與機(ji)遇的(de)(de)新時(shi)代(dai)。

另外(wai),據了解臺積電的A16制程(cheng)不依賴于最新的High-NA EUV技術,這使得成本更具競爭力,也(ye)符合了當前AI芯片公司(si)對設計最佳化(hua)的迫切需求(qiu)。

還值得(de)關注的(de)是,臺積電整個N2系列將增加(jia)全新的(de)NanoFlex功(gong)能(neng),該(gai)功(gong)能(neng)允許(xu)芯(xin)片設計(ji)人員在同(tong)一塊設計(ji)中混合和匹配來自不同(tong)庫(ku)的(de)單元,以優化芯(xin)片的(de)性能(neng)、功(gong)率和面積 (PPA)。

晶圓代工,戰火蔓延

相較(jiao)于臺積電的(de)N3制造(zao)工(gong)藝已經支持類似(si)功能(neng)的(de)FinFlex,由于N2依賴于GAAFET晶(jing)體管(guan),因此NanoFlex能(neng)為臺積電提供了(le)一(yi)些額外(wai)的(de)控制:比如臺積電可(ke)以優化通(tong)道寬(kuan)度以提高(gao)性能(neng)和功率,然后(hou)構建短單(dan)(dan)元(yuan)(以提高(gao)面積和功率效率)或高(gao)單(dan)(dan)元(yuan)(以提高(gao)15%的(de)性能(neng))。

時間方(fang)面,臺積(ji)電N2工藝將(jiang)(jiang)于(yu)2025年(nian)進入風險生產,并于(yu)2025年(nian)下半年(nian)進入大批量生產;性能增強(qiang)型N2P和電壓增強(qiang)型N2X將(jiang)(jiang)于(yu)2026年(nian)問世;A16先進制程(cheng)預(yu)計將(jiang)(jiang)于(yu)2026年(nian)下半年(nian)推(tui)出。

臺積(ji)電(dian)市場展望:AI需求強(qiang)勁,車用與(yu)工控疲軟

從市場研究機(ji)構(gou)TrendForce集邦咨詢(xun)近日公(gong)布的(de)2024年第一季(ji)(ji)全(quan)球前(qian)十大(da)晶圓代工企業營(ying)收(shou)排名(ming)來看,盡管(guan)AI相(xiang)關HPC需求相(xiang)當強勁,TSMC第一季(ji)(ji)仍(reng)受到智能手(shou)機(ji)、NB等消費性備貨淡季(ji)(ji),營(ying)收(shou)季(ji)(ji)減約4.1%,收(shou)斂至(zhi)188.5億美(mei)元,由于其(qi)他競業同樣面(mian)臨消費淡季(ji)(ji)挑戰,因此(ci)市占維持(chi)在61.7%。第二季(ji)(ji)隨(sui)著主要客戶Apple進入備貨周期,及AI服務器相(xiang)關HPC芯片需求持(chi)續穩健,有機(ji)會帶動營(ying)收(shou)呈個位數季(ji)(ji)成長(chang)率走勢。

晶圓代工,戰火蔓延

另有數據(ju)顯示,2024年前五個月(yue),臺積(ji)電的營收同(tong)比(bi)增長27%,遠超行業(ye)平均水平,這表明(ming)臺積(ji)電的市場需求依(yi)然強勁。

目前臺積電占(zhan)據著全球代工市場(chang)(chang)61%的(de)(de)市場(chang)(chang)份額,遠超(chao)排名(ming)第二的(de)(de)三星的(de)(de)11%。這種(zhong)市場(chang)(chang)主(zhu)導地位(wei)使得臺積電在客戶中(zhong)具有很高的(de)(de)吸引力,許多頂(ding)尖的(de)(de)芯片制(zhi)造商,如Nvidia、AMD、蘋果和(he)高通(tong)等,都是其長期合作伙伴(ban)。

隨著AI技(ji)術(shu)迅速發展,AI芯片需(xu)求呈現爆炸式增長(chang)。臺積電(dian)歐(ou)亞(ya)業務(wu)資(zi)深副總(zong)暨(ji)副共(gong)同(tong)營(ying)運(yun)長(chang)侯永清指(zhi)出,今年AI需(xu)求非常的(de)強勁(jing),手機跟PC業務(wu)也已經開始緩慢復蘇中,但是車(che)用與工(gong)控需(xu)求仍稍微疲軟。

從數據來看,與去年(nian)(nian)(nian)相(xiang)比,今年(nian)(nian)(nian)AI加速器增(zeng)長(chang)大約2.5倍;PC市場今年(nian)(nian)(nian)會有1-3%增(zeng)長(chang);手機市場在經(jing)歷兩年(nian)(nian)(nian)衰(shuai)退后今年(nian)(nian)(nian)會增(zeng)長(chang)1-3%;車用芯片(pian)市場今年(nian)(nian)(nian)需求疲(pi)軟,業(ye)績預(yu)估(gu)衰(shuai)退1-3%;IoT預(yu)估(gu)增(zeng)長(chang)7-9%,但相(xiang)較過往年(nian)(nian)(nian)增(zeng)幅20%是呈現(xian)下(xia)滑(hua)。

花旗指出,大多數AI GPU目前使用4/5/7納(na)米(mi)(mi)工藝(yi),隨著AI PC和(he)智能手(shou)機(ji)的(de)(de)需求增(zeng)長,疊(die)加芯片(pian)制造技(ji)術的(de)(de)逐漸成熟,預計其中的(de)(de)大多數將在2025年底前遷移到3納(na)米(mi)(mi)工藝(yi)。而作為(wei)先進半導(dao)體技(ji)術的(de)(de)領頭(tou)羊,臺積(ji)電預計將在2025年獲得更多3納(na)米(mi)(mi)芯片(pian)訂單,特別是來(lai)自蘋果、高(gao)通和(he)聯發(fa)科等客戶。屆(jie)時,臺積(ji)電3納(na)米(mi)(mi)工藝(yi)的(de)(de)利用率(lv)將保持緊張狀態。

臺積(ji)電此前也表示,預計(ji)2024年(nian)的(de)資本支出(chu)在(zai)280億(yi)至(zhi)(zhi)320億(yi)美元之間,預計(ji)2025年(nian)可能增至(zhi)(zhi)350億(yi)至(zhi)(zhi)400億(yi)美元,這些巨額預算主要用(yong)于2/3納米(mi)工(gong)藝(yi)的(de)研發和(he)生產。

臺積電通過(guo)持續的(de)技術創新和戰略投資(zi),已經建立了堅實的(de)市場基(ji)礎(chu)。

全球(qiu)擴(kuo)建產能,先進(jin)工藝留在(zai)臺灣

臺積電在(zai)中(zhong)國(guo)臺灣生產(chan)了世(shi)界上大多數最先進(jin)(jin)的(de)處(chu)理器(qi),但(dan)近年來(lai)正在(zai)改變戰(zhan)略,在(zai)將(jiang)制(zhi)造(zao)業(ye)務留在(zai)臺灣的(de)同(tong)時(shi),并在(zai)美國(guo)建立(li)晶(jing)圓廠生產(chan)先進(jin)(jin)芯片(pian),在(zai)日(ri)本生產(chan)相當(dang)先進(jin)(jin)的(de)處(chu)理器(qi),并在(zai)歐洲(zhou)生產(chan)專用芯片(pian)。

據了解,臺積電自(zi)2022年(nian)到(dao)2023年(nian)新建了五座(zuo)(zuo)工廠(chang),今年(nian)在建有七座(zuo)(zuo)工廠(chang),其中三個是(shi)晶圓(yuan)廠(chang)、兩(liang)個是(shi)封裝(zhuang)廠(chang),還有兩(liang)個海外晶圓(yuan)廠(chang),今年(nian)臺積電先進制程占67%

在代工方面,在臺灣(wan)新(xin)建的新(xin)竹Fab 20和高雄Fab 22均為(wei)2nm晶(jing)圓廠,目前已(yi)開始裝機,預計2025年量產。

從全球來看,臺積電在(zai)美(mei)國亞(ya)利桑那州計(ji)劃(hua)投(tou)資650億(yi)美(mei)元(yuan)興(xing)建(jian)三座(zuo)尖端制(zhi)程晶(jing)(jing)圓廠(chang)。其中,第(di)一座(zuo)晶(jing)(jing)圓廠(chang)已經開(kai)始裝機,預計(ji)明年(nian)量(liang)產(chan)(chan)4nm;2022年(nian)底動工(gong)的第(di)二座(zuo)晶(jing)(jing)圓廠(chang),預計(ji)2028年(nian)量(liang)產(chan)(chan)3nm;第(di)三座(zuo)晶(jing)(jing)圓廠(chang)還(huan)在(zai)規劃(hua)中,預計(ji)2030年(nian)之(zhi)前(qian)進入量(liang)產(chan)(chan)。

在(zai)日(ri)本熊(xiong)本,臺積(ji)電計(ji)劃(hua)建(jian)設兩(liang)座晶圓(yuan)廠(chang),熊(xiong)本第一座晶圓(yuan)廠(chang)2022年4月動工(gong),預(yu)計(ji)今年第四(si)季(ji)度量產22/28nm和12/16nm制(zhi)程;熊(xiong)本二廠(chang)預(yu)計(ji)2027年量產6/7nm制(zhi)程。在(zai)德國臺積(ji)電將建(jian)16nm晶圓(yuan)廠(chang),預(yu)計(ji)今年第四(si)季(ji)度動工(gong),2027年量產。

圍繞先進封裝(zhuang),臺積電也在加(jia)速擴大(da)CoWoS和(he)SoIC產(chan)能(neng)(neng)。根據(ju)規劃,到2026年(nian)CoWoS生產(chan)線的年(nian)復(fu)合增長率將超過(guo)60%,到年(nian)底產(chan)能(neng)(neng)將是2023年(nian)的4倍(bei)。SoIC產(chan)能(neng)(neng)將比2023年(nian)增長8倍(bei),年(nian)復(fu)合增長率高達100%。

多管齊下(xia),臺積電拉開(kai)了其大膽路線圖(tu)(tu)的(de)(de)帷幕(mu),在先進(jin)工藝、先進(jin)封(feng)裝(zhuang)、國際化布局(ju)層面勾勒了宏偉藍圖(tu)(tu)。但其也表示將最關鍵的(de)(de)開(kai)發(fa)留在中國臺灣。

今年5月,中(zhong)國(guo)臺(tai)(tai)灣新任科技(ji)部部長吳(wu)正(zheng)文表(biao)示(shi),他相信臺(tai)(tai)積電能(neng)夠保護其專有的(de)先進技(ji)術,并(bing)在(zai)向國(guo)際擴張(zhang)的(de)同時繼續在(zai)中(zhong)國(guo)臺(tai)(tai)灣建設其尖端晶(jing)圓(yuan)廠。盡管臺(tai)(tai)積電在(zai)全球發展,但其最先進的(de)技(ji)術開發仍(reng)將在(zai)中(zhong)國(guo)臺(tai)(tai)灣得到保障。

臺積電:全球工廠復(fu)制(zhi)計劃(hua)

臺積(ji)電近(jin)期也(ye)證實,其海外晶圓廠復制了中國臺灣首(shou)次采用(yong)的技術和工藝配方。

目前業界已充分認(ren)識到(dao),大型跨國(guo)晶(jing)(jing)圓廠(chang)需具備一(yi)套流程來復制(zhi)(zhi)其設施(shi)。隨著Gigafab(超級晶(jing)(jing)圓廠(chang))規模的(de)擴大,為了保(bao)持(chi)必要的(de)生(sheng)產(chan)量并避(bi)免由于必須(xu)重新調整晶(jing)(jing)圓廠(chang)而產(chan)生(sheng)的(de)季(ji)度(du)瓶頸,芯片制(zhi)(zhi)造商需能夠迅(xun)速將新的(de)及更新的(de)制(zhi)(zhi)造工藝(yi)應用到(dao)其他設施(shi)。

英特爾(er)(er)已因(yin)其實施的“精確復制(zhi)”計(ji)劃而聞名(ming),該計(ji)劃允許其全球各地的晶圓廠共享工藝配方,以(yi)提升產量并降(jiang)低性(xing)能波動,這(zhe)成為了英特爾(er)(er)的主(zhu)要(yao)競(jing)爭(zheng)優(you)勢之(zhi)一(yi)。

同樣,隨著臺(tai)積電(dian)在全球范圍內不斷增設新(xin)產能,也開始實施(shi)類似的計(ji)劃,以(yi)便盡快(kuai)提升(sheng)其在日本和(he)美國(guo)新(xin)建晶(jing)圓(yuan)廠的產量和(he)效率(lv)。

據(ju)悉,臺積電實施了(le)統一的(de)晶圓廠(chang)管理,以確(que)保(bao)全(quan)球(qiu)范圍內的(de)超級晶圓廠(chang)能實現一致的(de)運營效率和(he)生產質量。同時,還在全(quan)球(qiu)業(ye)務中致力(li)于可持續(xu)發展(zhan),這涵蓋了(le)綠色(se)制造、全(quan)球(qiu)人才(cai)培(pei)育(yu)、供(gong)應鏈(lian)本地化以及履行社(she)會責任。

談到工藝技術的(de)(de)改(gai)(gai)進(jin)時,主要有兩種主要機(ji)制(zhi):用于提高產量(liang)的(de)(de)持續工藝改(gai)(gai)進(jin)(CPI),以及減少性(xing)能變化的(de)(de)統計過程(cheng)控制(zhi)(SPC)。為此,通過全(quan)球Gigafab制(zhi)造,臺(tai)積電(dian)可(ke)以使用CPI和(he)SPC通過在不(bu)同站點之間共享知(zhi)識(shi)來(lai)提高全(quan)球范圍內(nei)的(de)(de)產量(liang)和(he)性(xing)能。

臺積電或將啟(qi)動新一輪(lun)漲價

近期,多家芯(xin)片廠商和(he)晶圓代工廠陸續宣布價格調整。

隨(sui)著頭部(bu)客(ke)戶(英(ying)偉達(da)、AMD、英(ying)特(te)爾、高通、聯發科、蘋果及(ji)谷歌(ge))陸續導入3 納米制程,臺(tai)積電訂單已滿(man)至2026年。為此(ci),臺(tai)積電或將(jiang)啟動新一輪漲價談判。

在(zai)6月4日(ri)的股東大會上,剛剛全面掌舵臺(tai)積電的新任董事(shi)長魏哲家表示,目前所有的AI半導體全部是(shi)由臺(tai)積電生產。暗示有漲(zhang)價想法,3nm代工報價漲(zhang)幅或在(zai)5%以上。

需(xu)要(yao)注意的(de)是,晶(jing)圓(yuan)代工廠(chang)的(de)“內(nei)卷(juan)”已(yi)經出(chu)現(xian)收斂(lian)的(de)信號。雖然目前晶(jing)圓(yuan)廠(chang)漲價尚未(wei)成為既定事實,但各大晶(jing)圓(yuan)廠(chang)的(de)產(chan)能利用率已(yi)經明(ming)顯提升,不少廠(chang)商已(yi)出(chu)現(xian)滿(man)產(chan)、甚至利用率超(chao)100%的(de)情況。

在業(ye)內看來(lai),晶(jing)圓代工環節稼動率的持續提升(sheng)以及部分代工廠的滿產,未來(lai)將帶來(lai)價(jia)格上(shang)漲的彈性。

此外,AI熱(re)潮極(ji)大地(di)推升了CoWoS需(xu)求,臺積電先進封裝產能供不應(ying)求也將延續(xu)至2025年(nian)。據悉,臺積電先進封裝明年(nian)年(nian)度報(bao)價漲幅在(zai)10%-20%。

重重困境下,三星代工如何突圍?

在先進制程芯片這場激烈(lie)的競(jing)賽中,臺積電猶(you)如一位穩(wen)健的領跑者,而三星則似乎陷入了重(zhong)重(zhong)困(kun)境。

尤其是在尋(xun)求(qiu)突破3nm工(gong)藝的(de)過程(cheng)中(zhong),三(san)星(xing)電子良率和能效問題尤為突出。然而,隨著(zhu)全(quan)球無晶圓廠半導體公司和科(ke)技(ji)巨頭紛(fen)紛(fen)將目光投(tou)向3nm工(gong)藝,作為主流技(ji)術的(de)未來趨勢已(yi)然明(ming)朗(lang)。在這(zhe)一大(da)背景(jing)下,臺積電憑借(jie)其卓越的(de)技(ji)術實力,吸引(yin)了(le)眾(zhong)多公司的(de)青睞,英(ying)偉達、AMD、英(ying)特爾、高(gao)通、聯發科(ke)、蘋果、谷(gu)歌等業界巨頭已(yi)紛(fen)紛(fen)決定將訂單交付給這(zhe)位全(quan)球最大(da)的(de)代工(gong)芯片制造商。這(zhe)一決策無疑進(jin)一步拉大(da)了(le)臺積電與三(san)星(xing)電子在市場(chang)份額(e)上的(de)差距。

盡管(guan)三(san)(san)星曾(ceng)一度在(zai)3nm工(gong)藝(yi)領域(yu)占據先機,但現(xian)實卻頗(po)為殘酷。據報道,三(san)(san)星電子代工(gong)部(bu)門的(de)(de)原型(xing)在(zai)關鍵(jian)指標上明顯落后(hou)于臺積(ji)電,從而使(shi)其在(zai)這場競(jing)賽中失去了早期的(de)(de)優勢(shi)地位。谷歌和高通等公司的(de)(de)選擇(ze)更是對(dui)三(san)(san)星的(de)(de)一次沉重打擊,它們在(zai)經過深思熟慮(lv)后(hou),最終決定將訂單交給臺積(ji)電,這無疑讓三(san)(san)星的(de)(de)處境雪上加霜。

據(ju)TrendForce數據(ju)顯示,今年第一(yi)季(ji)度,三(san)星電子的代(dai)工市場份額有(you)所下滑(hua),而臺(tai)(tai)積(ji)電的市場份額則有(you)所上(shang)升(sheng)。這一(yi)趨勢預示著未來臺(tai)(tai)積(ji)電在半(ban)導體代(dai)工領域的地(di)位(wei)將更(geng)加(jia)穩(wen)固(gu),而三(san)星則需要在技術和市場策(ce)略上(shang)做出更(geng)多努力以(yi)追趕領先者。

三星公布未來工藝路線圖

日(ri)前(qian),三星在(zai)2024年(nian)三星代工論壇上公(gong)布(bu)了(le)其(qi)芯(xin)片制造工藝(yi)技術的(de)最新路(lu)線(xian)圖,涉(she)及(ji)(ji)的(de)重點包括2納(na)米/1.4納(na)米工藝(yi),以及(ji)(ji)將在(zai)未來三年(nian)內向客(ke)戶提供(gong)具有背面供(gong)電技術的(de)路(lu)線(xian)圖。

其中,SF2節(jie)點(以前稱為SF3P)預(yu)計(ji)會在2025年推出,主要針(zhen)對(dui)高性能(neng)計(ji)算(suan)和智能(neng)手(shou)機應用而(er)設計(ji)。與(yu)3nm工藝(SF3)相比,三星(xing)的2nm工藝性能(neng)提升(sheng)12%,功率效率提升(sheng)25%,面積(ji)減少(shao)5%。

2026年,三星計劃推(tui)出SF2P,這是SF2的性(xing)能增(zeng)強版(ban)本,其特(te)點是速度(du)(du)更快但密(mi)度(du)(du)更低;2027年,三星將發布SF2Z,該產品將采(cai)用背面供電(dian)技(ji)術(BSPDN),從(cong)而(er)提(ti)高性(xing)能并增(zeng)加晶體管密(mi)度(du)(du)。此外(wai),這一(yi)改(gai)進還旨在(zai)提(ti)高電(dian)源質量和管理壓降(IR Drop),以應對先進芯片生產過程中的關鍵挑戰。

三星(xing)SF1.4節點(1.4納(na)米(mi))計劃,標志著三星(xing)將有望在2027年進入1.4 納(na)米(mi)級(ji)別賽道。與SF2Z不(bu)同的是,SF1.4將不(bu)包括背面電源傳輸,這使三星(xing)有別于英特爾和臺積電,后者(zhe)將在其2nm級(ji)和1.6nm級(ji)節點上引(yin)入背面供電技術。

晶圓代工,戰火蔓延

除了(le)(le)推出高端(duan)節點外,三星(xing)還(huan)發布了(le)(le)SF4U節點,這是(shi)4納米級節點的高性價比(bi)變體,通過光學收縮提高了(le)(le)功率、性能和(he)面積,預計將(jiang)于2025年量產。

三星(xing)(xing)最新的(de)工藝技(ji)術路(lu)線圖(tu)展示(shi)了其在2nm及以下節(jie)點上的(de)持續創新和(he)發展。隨著(zhu)BSPDN技(ji)術的(de)引(yin)入和(he)1.4nm節(jie)點的(de)推出,三星(xing)(xing)致(zhi)力于(yu)在半導體制造(zao)領域(yu)保持領先地位。

在過去一年(nian)中,三星代工(gong)的AI需(xu)求相關(guan)銷售(shou)額增(zeng)長了80%,預計(ji)到(dao)2028年(nian),其AI芯片(pian)代工(gong)客戶(hu)數量將比(bi)2023年(nian)增(zeng)加4倍,代工(gong)銷售(shou)額將比(bi)2023年(nian)增(zeng)加9倍。

三星電子正(zheng)在開發(fa)(fa)一(yi)(yi)種集成了(le)封裝晶圓(yuan)代(dai)工非內存半導體和HBM的(de)AI解(jie)決方案,旨在制(zhi)造出既高(gao)性能(neng)又低能(neng)耗(hao)的(de)AI芯片。與現有(you)(you)技術相比(bi),這(zhe)一(yi)(yi)新工藝有(you)(you)望將研發(fa)(fa)到生產(chan)的(de)時間縮短(duan)大約20%。

三星電子總裁兼代工(gong)業(ye)務負責人崔時(shi)永(yong)博士表示:“在(zai)眾多技(ji)術圍繞人工(gong)智(zhi)能(neng)(neng)(neng)不(bu)斷發展的時(shi)代,實現(xian)人工(gong)智(zhi)能(neng)(neng)(neng)的關(guan)鍵在(zai)于(yu)高性能(neng)(neng)(neng)、低(di)功耗的半導體。除了(le)針對(dui)AI芯(xin)片優(you)化的成(cheng)熟GAA工(gong)藝外,我(wo)們還計劃推出(chu)集成(cheng)式共封(feng)裝光學(xue) (CPO) 技(ji)術,以實現(xian)高速、低(di)功耗的數據處理,為客(ke)戶提供一站式人工(gong)智(zhi)能(neng)(neng)(neng)解決(jue)方(fang)案。”

晶圓代工,戰火蔓延

此(ci)外,在論(lun)壇(tan)上,三星還分享(xiang)了其2027年采用硅光子的(de)計劃,這是其首次(ci)宣布此(ci)類計劃。利用光纖在芯片上傳輸(shu)數(shu)據(ju),與(yu)I/O相比,預計數(shu)據(ju)傳輸(shu)速度將大幅提高。

三星(xing)晶(jing)圓廠,又(you)丟客戶

正如上文所述,客戶陸(lu)續決定將訂單交(jiao)給臺(tai)積電,無疑(yi)讓三星的處境雪上加(jia)霜。

近日又有消(xiao)息指出(chu),此前曾使用(yong)三星(xing)代工(gong)廠的(de)設(she)計(ji)(ji)公(gong)(gong)司Gaonchips作(zuo)(zuo)為(wei)其生產(chan)合作(zuo)(zuo)伙伴(ban)的(de)DeepX,最(zui)近與臺積(ji)電(dian)的(de)設(she)計(ji)(ji)公(gong)(gong)司合作(zuo)(zuo)伙伴(ban)Asicland簽署了一項協議,計(ji)(ji)劃使用(yong)臺積(ji)電(dian)的(de)先進節點(dian)來制造(zao)具(ju)有神經處理單元(yuan)(NPU)的(de)SoC。

此外,盡管三星為AMD提供3nm制程(cheng)服(fu)務(wu)的(de)傳聞已(yi)久,但AMD CEO Lisa Su在2024年臺北國(guo)際電腦展的(de)發(fa)布會上(shang)強調,公司(si)仍在與臺積電合作。

不難看出,搶奪競爭(zheng)對手的先(xian)進制程訂單有多么困難。

除了上(shang)面提(ti)到的(de)3nm客戶丟失外,三星的(de)4nm工藝同(tong)樣(yang)在此付出了代價。

本來高(gao)通(tong)(tong)連續(xu)兩代芯片交給(gei)三星代工,但是(shi)據說三星的(de)4nm工藝只有35%的(de)良率,這使得產(chan)能(neng)始終上不(bu)去(qu),導致高(gao)通(tong)(tong)不(bu)得不(bu)把訂單轉給(gei)了臺積電(dian),讓后者為其代工4nm的(de)驍龍(long)8 Gen 1 Plus芯片。后續(xu)從驍龍(long)8+、驍龍(long)8 Gen 2到驍龍(long)7+芯片,高(gao)通(tong)(tong)都已經轉向了臺積電(dian)。

英偉達的(de)(de)RTX 40顯卡也(ye)放棄(qi)了三(san)星,改用臺積電(dian)的(de)(de)5nm工藝,且(qie)未來這些(xie)廠商(shang)都會繼續和臺積電(dian)合作。

能看(kan)到(dao),三星為自(zi)己芯片工藝(yi)的(de)良(liang)(liang)率(lv)(lv)付出了(le)慘(can)痛的(de)教(jiao)訓(xun),后續其工藝(yi)制程除(chu)了(le)要跟上節奏之外(wai),三星晶圓(yuan)代工部門還需要全力(li)以赴來提(ti)高良(liang)(liang)率(lv)(lv),否(fou)則因良(liang)(liang)率(lv)(lv)不(bu)高導致無人問津的(de)故(gu)事或將重(zhong)演。

英特爾代工,瞄向尖端節點

新一輪AI浪潮(chao)引發的算力需(xu)求急速膨脹,在將GPU之王英偉達捧(peng)上(shang)神壇(tan)的同時,也讓英特爾這位(wei)CPU霸主(zhu)顯得有(you)些(xie)落(luo)寞。

2024年第一(yi)季度財報顯示,英(ying)特爾營(ying)收保(bao)持(chi)增長(chang),但(dan)利潤(run)卻無較大起色(se)。但(dan)面(mian)對競爭(zheng),英(ying)特爾仍有沖勁,從其大舉(ju)押注AI芯片(pian)及芯片(pian)代工(gong)的動作來看,英(ying)特爾正在全新AI時(shi)代找(zhao)回失(shi)落的王座(zuo)。

從代工業(ye)務來看,英特(te)爾(er)也同樣(yang)在積極(ji)推進其戰略目標尖端(duan)節點的開發。

英特(te)(te)爾近期宣布(bu),已經開(kai)(kai)始為(wei)客戶批量生(sheng)產intel 3工藝(yi)(yi)(yi),Intel 3代表了英特(te)(te)爾IDM 2.0戰略中的第三(san)個(ge)工藝(yi)(yi)(yi)節(jie)點(dian),該戰略旨在四(si)年內開(kai)(kai)發(fa)五個(ge)工藝(yi)(yi)(yi)節(jie)點(dian),并將成為(wei)第一個(ge)針對代工廠(chang)制造的先進節(jie)點(dian)。

晶圓代工,戰火蔓延

Intel 3工(gong)藝節(jie)點(dian)(dian)帶來(lai)的(de)一(yi)些重大優勢包(bao)括(kuo)更密(mi)集(ji)的(de)設計(ji)庫(ku)、更大的(de)晶體管(guan)驅動(dong)電流和(he)更多EUV的(de)使用。該節(jie)點(dian)(dian)還有三種變(bian)體,包(bao)括(kuo)3-T、3-E 和(he) 3-PT。前兩種變(bian)體與Intel 4相比(bi),每(mei)瓦性(xing)能(neng)(neng)提升了(le)18%,而PT則(ze)帶來(lai)了(le)額外的(de)性(xing)能(neng)(neng)并且(qie)易于使用。所有四種節(jie)點(dian)(dian)變(bian)體都(dou)支持240nm高性(xing)能(neng)(neng)和(he)210nm高密(mi)度(du)庫(ku)。其(qi)中,“T”代(dai)表硅(gui)通孔 (TSV),這是一(yi)種垂直方向(xiang)的(de)電氣連接(jie),可(ke)實現芯片元(yuan)件之間(jian)或(huo)堆疊芯片之間(jian)的(de)高速互(hu)連。

晶圓代工,戰火蔓延

在英(ying)特爾看來,Intel 3將在未來至少十年內長期(qi)支持(chi)代工廠(chang)客戶,從而為汽車和物(wu)聯網等需要(yao)更長生命周期(qi)的應用(yong)打開(kai)大門。Intel 3的生產爬坡不僅僅是一項制(zhi)造成(cheng)就,它代表了英(ying)特爾代工廠(chang)的一個重要(yao)里程碑和證(zheng)明點。

值(zhi)得一(yi)提的是(shi),Intel 3節點是(shi)英特爾路線(xian)圖(tu)上的最后(hou)一(yi)代FinFET節點,因為從下一(yi)代開(kai)始,英特爾就開(kai)始推(tui)出(chu)了其(qi)GAA晶體管RibbonFET。

在5月的(de)(de)財(cai)報電話會議(yi)上,Intel CEO帕特(te)·基辛格(Pat Gelsinger)指(zhi)出,公司的(de)(de)第一代GAA RibbonFET工藝,即intel 20A,有望在今(jin)年(nian)推出;后(hou)續產品是intel 18A,預計將于2025年(nian)上半年(nian)投入生(sheng)產,并逐步推進至2027年(nian)的(de)(de)Intel 10A節點。

晶圓代工,戰火蔓延

英(ying)特爾(er)兩大(da)利器分別是RibbonFET和PowerVia技(ji)術:RibbonFET是英(ying)特爾(er)對GAA晶(jing)體管(guan)的(de)(de)實現(xian),它將(jiang)成為(wei)英(ying)特爾(er)自2011年率先(xian)推出FinFET以來(lai)的(de)(de)首(shou)個(ge)全(quan)新晶(jing)體管(guan)架構;PowerVia是英(ying)特爾(er)獨有(you)的(de)(de)、業(ye)界(jie)首(shou)個(ge)背面電能傳輸網絡,通過消除晶(jing)圓(yuan)正(zheng)面供電布線需求來(lai)優化信號傳輸。

在英(ying)特(te)爾(er)的(de)(de)規(gui)劃中,還將率先采用(yong)ASML最新的(de)(de)High-NA EUV光(guang)刻機,這也是與競爭對手不同的(de)(de)點。英(ying)特(te)爾(er)表示(shi),新工具能(neng)(neng)夠大幅提(ti)高(gao)下一(yi)代(dai)處理器(qi)的(de)(de)分辨率和功能(neng)(neng)擴展(zhan)能(neng)(neng)力,使英(ying)特(te)爾(er)代(dai)工廠能(neng)(neng)夠在英(ying)特(te)爾(er)18A之后繼續保持(chi)工藝(yi)領(ling)先地位。

與此同(tong)時,英特爾也(ye)在(zai)持續加大力度,今年(nian)2月公布(bu)了(le)Intel 14A制程,采(cai)用(yong)了(le)High-NA EUV技術,預(yu)計(ji)最快于2026年(nian)量產。而最新的14A-E版本則在(zai)14A基礎上進一步提升了(le)能耗(hao)效(xiao)率(lv)。

晶圓代工,戰火蔓延

英特爾強調了與ASML TWINSCAN EXE:5000 High-NA EUV光(guang)刻設(she)備結合的重要性,這(zhe)將(jiang)為英特爾的制(zhi)程技術帶來(lai)新的突破,進一步推動了制(zhi)程藍圖(tu)的實現。

英特爾:力爭成為全球第二大代工廠

英特爾的“全新制程(cheng)(cheng)(cheng)技術(shu)路線圖(tu)(tu)”證實,其“四(si)年五個(ge)制程(cheng)(cheng)(cheng)節點”路線圖(tu)(tu)仍在穩步推進,并將(jiang)在業(ye)內率先提供背(bei)面供電解決方(fang)案(an)。英特爾預計將(jiang)于2025年通過Intel 18A制程(cheng)(cheng)(cheng)節點重獲制程(cheng)(cheng)(cheng)領先性。

能(neng)看到,英特(te)爾(er)正在規劃一(yi)條新的道路,試圖讓自己成為(wei)晶圓(yuan)代工市(shi)場的重要參與者,與臺積電、三(san)星等晶圓(yuan)代工廠競爭,希(xi)望(wang)重新獲得全球(qiu)領先芯片制造商(shang)的地(di)位。

英(ying)特爾的目標是在(zai)2030年成為全球第二(er)大的半導(dao)體制(zhi)造(zao)(zao)工廠。為了(le)實現這一目標,英(ying)特爾正在(zai)加強執行力,推動面向AI時代(dai)的系統級代(dai)工,打(da)造(zao)(zao)前沿(yan)并具多元化的制(zhi)造(zao)(zao)能力。

此外,英(ying)(ying)特爾(er)還重點(dian)介紹了其在(zai)成熟(shu)制(zhi)程節點(dian)上的(de)進展(zhan),如(ru)今年(nian)1月份宣布與UMC聯合開發的(de)全新12納米節點(dian)。英(ying)(ying)特爾(er)代(dai)工計(ji)劃每兩年(nian)推出一(yi)個新節點(dian),并一(yi)路推出節點(dian)的(de)演化版(ban)本,通過英(ying)(ying)特爾(er)領先的(de)制(zhi)程技術幫助客(ke)戶不斷改進產品。

英(ying)(ying)特爾代(dai)工(gong)還宣布將(jiang)FCBGA 2D+納(na)入(ru)英(ying)(ying)特爾代(dai)工(gong)先進(jin)系統封裝及測試(Intel Foundry ASAT)的技術組合之中(zhong),這一組合將(jiang)包(bao)括FCBGA 2D、FCBGA 2D+、EMIB、Foveros和Foveros Direct等技術。

英特爾代工策略(lve)&;換帥

自從(cong)Pat Gelsinger于(yu)2021年重返英特爾(er)CEO職(zhi)位以來,公司便(bian)積極(ji)調整戰略(lve),致力于(yu)擺脫傳統產品和制造技術難以按計劃實現的困境(jing)。蓋爾(er)辛(xin)格(ge)領(ling)導下的英特爾(er),以“IDM2.0”為核心戰略(lve),持續(xu)推動新產品和制造技術的研發(fa)與(yu)落地。

作(zuo)為(wei)IDM2.0戰略的(de)(de)重要組(zu)成(cheng)部(bu)分,英(ying)特爾推出了IFS(Intel Foundry Service)業務,與傳統的(de)(de)制造(zao)模(mo)式(shi)(shi)不同,IFS將英(ying)特爾的(de)(de)制造(zao)設施開(kai)放(fang)給(gei)除(chu)Intel產(chan)品部(bu)門外的(de)(de)其(qi)他公司,承(cheng)接它們設計(ji)的(de)(de)半導體產(chan)品的(de)(de)制造(zao)。這一創新模(mo)式(shi)(shi)不僅拓展了英(ying)特爾的(de)(de)業務范圍,也為(wei)其(qi)帶來了更(geng)多的(de)(de)合作(zuo)機會(hui)和市場空間。

半導體(ti)產(chan)業被譽(yu)為(wei)“規模(mo)經濟”的(de)代表,生產(chan)規模(mo)對(dui)制(zhi)造效率具有重要影(ying)響。當前,諸如TSMC等專(zhuan)注于(yu)受(shou)托制(zhi)造高性能半導體(ti)的(de)廠商(shang),在規模(mo)上(shang)已經超越(yue)了僅面向Intel制(zhi)造的(de)Intel制(zhi)造部(bu)門。然而,英特爾通過IFS業務的(de)推出,正積(ji)極尋求在規模(mo)和效率上(shang)的(de)平衡(heng),以實現更大的(de)市場份額(e)和競爭(zheng)優(you)勢(shi)。

英特爾(er)通過明(ming)確(que)的目標、積(ji)極的路線圖、創(chuang)新的IDM2.0戰略以及(ji)IFS業(ye)(ye)務(wu)的推出(chu),正(zheng)逐步(bu)在(zai)半導(dao)體產業(ye)(ye)中展現(xian)出(chu)其強大的競爭(zheng)力和領(ling)導(dao)力。

在(zai)一個(ge)多月前,英特(te)爾宣布聘請了資深(shen)行業(ye)人士凱文·奧巴克(ke)利擔任其代(dai)工(gong)芯片制(zhi)造業(ye)務(wu)的高級(ji)副總(zong)裁兼總(zong)經理(li),標志著英特(te)爾在(zai)代(dai)工(gong)領(ling)域的新一輪戰略布局正式啟動。奧巴克(ke)利在(zai)IBM、格(ge)芯、Avera Semiconductor以及Marvell等知(zhi)名(ming)企業(ye)擔任過高級(ji)職位

奧巴克利的(de)到(dao)來,無疑為英(ying)特爾的(de)代工(gong)業(ye)務(wu)注入了(le)新的(de)活力。他將(jiang)在5月(yue)底接替即將(jiang)退(tui)休的(de)斯圖·潘恩(en),成為執行領導團隊的(de)一員,直(zhi)接向(xiang)CEO帕(pa)特·基辛格匯報工(gong)作。這一變動,不僅體現(xian)了(le)英(ying)特爾對于(yu)代工(gong)業(ye)務(wu)的(de)重視,也彰(zhang)顯(xian)了(le)其對于(yu)未來半導體市場發展趨勢的(de)深刻洞察。

隱瞞巨(ju)額虧損,英特爾(er)面臨(lin)集(ji)體訴訟

據(ju)外媒近日(ri)披(pi)露,英特爾正面臨(lin)一(yi)項(xiang)集體訴訟,投(tou)資(zi)人指(zhi)控其(qi)涉隱瞞“英特爾代(dai)工服(fu)務”部(bu)門約70億(yi)美元的巨額虧(kui)損。

報道稱(cheng),投資人指控(kong)英(ying)特(te)爾在(zai)今年1月(yue)報告(gao)2023年業績時,沒有(you)(you)正確(que)披露(lu)制造部門(men)(men)的(de)(de)虧損情況(kuang)。訴(su)狀指控(kong),英(ying)特(te)爾夸大(da)其(qi)代工服(fu)務部門(men)(men)的(de)(de)成長和利潤,該部門(men)(men)在(zai)2023年實際遭受(shou)巨額虧損,產品(pin)利潤也下降,這使得(de)公(gong)司(si)及其(qi)代工策略的(de)(de)正面表(biao)態具有(you)(you)誤(wu)導性,涉(she)嫌虛(xu)假陳述或隱瞞行(xing)為(wei)。

不僅如此(ci),英特爾(er)還將約30%的產能外包給(gei)臺積電等晶圓代工廠商,這一行為也進(jin)一步(bu)激(ji)怒了投資者。

訴狀具體列舉(ju)了英特爾涉嫌的(de)虛(xu)假陳述或隱瞞行為(wei),包括:

英特爾代工(gong)服務的成(cheng)長(chang)(chang)并不代表內部(bu)部(bu)門可報告的收入成(cheng)長(chang)(chang);

英特爾代工部(bu)門在2023 年出現重大經營虧損(sun);

由于內部收入(ru)下降(jiang),該部門的產品利潤出(chu)現下滑;

因此,代工模式(shi)不會成為公司(si)整合(he)封裝測試(IFS)策略的有力推手;

由于上(shang)述原因,被告關于公司業務、營運和前(qian)景的積極表態在實質上(shang)具有誤導性或缺(que)乏合理(li)依據。

此訴(su)訟(song)由Levi &; Korsinsky律師事務所發起,該所呼吁英特爾(er)投資(zi)者(zhe)加入針對該公司的集體(ti)訴(su)訟(song)。

先進制程之外,

三巨頭“火拼”先進封裝

從三(san)家代(dai)工巨(ju)頭的路線圖(tu)來看,先進晶圓代(dai)工市場(chang)競爭激(ji)烈,臺積電(dian)和(he)三(san)星在先進制(zhi)程(cheng)上競爭,英特爾四年五個節點(dian)制(zhi)程(cheng)開發依計劃進行中。

三大晶圓代工巨(ju)頭動作頻頻,正在(zai)進(jin)行新一輪(lun)的競爭激戰。

晶圓代工,戰火蔓延

數據參考:全球半導(dao)體觀察(cha)

誰將成為下一個(ge)晶圓代工業的(de)“王者”或許(xu)仍是一個(ge)懸而未決(jue)的(de)問題,但(dan)可以確(que)定(ding)的(de)是,持續(xu)的(de)創新(xin)和技術(shu)突破將成為決(jue)定(ding)性因素(su)。

而另一(yi)邊,在(zai)摩爾(er)定律逐漸放緩(huan)的趨勢(shi)下,僅僅從(cong)微縮晶體管,提(ti)高密度以提(ti)升芯片性(xing)能(neng)的角(jiao)度正在(zai)失效。對此,先進封裝(zhuang)成為(wei)后摩爾(er)時(shi)代(dai)彌補(bu)芯片性(xing)能(neng)和成本的重要解決方案之一(yi)。

這也成為(wei)了晶圓代工巨頭們的新(xin)戰場。

其中,臺積電是全(quan)球(qiu)先進封裝技(ji)術的領軍者,旗下3D Fabric擁有CoWoS、InFO、SoIC等(deng)多種(zhong)先進封裝工藝。

晶圓代工,戰火蔓延

CoWoS是(shi)臺積電最經典的先(xian)進(jin)封裝技術之一(yi)。2011年至今,臺積電的CoWoS工藝(yi)已經迭代至第五代,期間中介層(ceng)面積、晶體管(guan)數(shu)量(liang)、內存容量(liang)不斷擴大(da)。英偉(wei)達(da)、AMD、博通、Marvell等都是(shi)臺積電CoWoS工藝(yi)的大(da)客戶。

晶圓代工,戰火蔓延

臺(tai)積(ji)電CoWoS結(jie)構示意(yi)圖(tu)

自AIGC爆火之(zhi)后,CoWoS也隨之(zhi)成為行(xing)業(ye)焦點,行(xing)業(ye)重(zhong)量(liang)級客戶持續對臺積電(dian)追加CoWoS訂單。為應(ying)對大客戶需求(qiu),臺積電(dian)加快CoWoS先進(jin)封裝產能擴充腳(jiao)步。

此外,臺(tai)積電還開發了(le)廉(lian)價(jia)版的CoWoS技(ji)術(shu),即InFO技(ji)術(shu),降低了(le)單位成(cheng)本和封(feng)裝高(gao)度。這也是InFO技(ji)術(shu)在移動(dong)應用和HPC市場(chang)成(cheng)功的重要(yao)原因,為臺(tai)積電后來能獨(du)占蘋果A系列處理器(qi)打下了(le)關鍵基礎(chu)。

除了CoWoS和(he)InFO,2018年(nian),臺(tai)積電(dian)首度對(dui)外界公布了創新的系統(tong)整合(he)單芯(xin)片(SoIC)多芯(xin)片3D堆(dui)疊技(ji)術SoIC,這標志著臺(tai)積電(dian)已(yi)具備直接為客戶生產(chan)3D IC的能力。作為業內第(di)一個高密度3D chiplet堆(dui)疊技(ji)術,SoIC被看作“3D封裝(zhuang)最前沿(yan)”技(ji)術。

憑借其凸塊密度更(geng)高,傳輸速度更(geng)快,功耗(hao)更(geng)低等(deng)優(you)勢,SoIC或將成為行業未來發展的主要封(feng)裝技術(shu)趨勢。當前,臺積電也正在積極上(shang)調SoIC的產能計劃,計劃到2024年年底,月(yue)產能躍升至(zhi)5000-6000顆(ke),以應(ying)對未來AI和HPC的強(qiang)勁(jing)需求(qiu)。

可見,臺積電憑借其(qi)領先的先進(jin)封裝技術吃盡紅利。

當然,英特爾,三星兩位強(qiang)敵在(zai)此領域也(ye)絲毫不敢懈怠。

英特爾通過(guo)多年(nian)技術探索(suo),相繼推出(chu)了EMIB、Foveros和Co-EMIB等多種先進(jin)封裝(zhuang)技術,在互連(lian)密度、功率效(xiao)率和可擴(kuo)展性三個(ge)方面持續(xu)精進(jin)。

從英特爾發布的(de)(de)(de)先(xian)進(jin)封裝技術藍圖(tu)來(lai)看,其(qi)計劃將傳統基板轉為更為先(xian)進(jin)的(de)(de)(de)玻璃(li)材(cai)質(zhi)基板,以實現新的(de)(de)(de)超越;同時(shi)英特爾也將在布局硅光(guang)模塊(kuai)中的(de)(de)(de)CPO(共封裝光(guang)學)技術,通過玻璃(li)材(cai)質(zhi)基板設計,利用光(guang)學傳輸的(de)(de)(de)方(fang)式增(zeng)加信號交換時(shi)的(de)(de)(de)可(ke)用頻(pin)寬,以優(you)化算力成本。

晶圓代工,戰火蔓延

英特(te)爾先進封裝路線圖(圖源:英特(te)爾官網)

結合英特爾在先(xian)進制程(cheng)(cheng)上一系列動態,外界預(yu)期,英特爾將結合先(xian)進制程(cheng)(cheng)與先(xian)進封裝兩條線(xian),希冀在晶圓代(dai)工領域實現“1加1大于2”的效果(guo)。

三星在2.5D/3D先進(jin)封裝技(ji)術領域(yu)同(tong)樣也在積極布(bu)局,并(bing)已經推出I-Cube、X-Cube等先進(jin)封裝技(ji)術。針對2.5D封裝,三星推出的(de)I-Cube技(ji)術可(ke)以(yi)和臺積電的(de)CoWoS技(ji)術相媲美。針對3D封裝,三星在2020年(nian)推出X-Cube技(ji)術,將(jiang)硅晶圓或芯(xin)片物理(li)堆疊,并(bing)通(tong)過TSV連接,最大程(cheng)度上縮短了互聯(lian)長度,在降低功耗的(de)同(tong)時(shi)提(ti)高傳輸速(su)率。

另外,三(san)星(xing)計劃在2024年(nian)量(liang)產(chan)可處理(li)比(bi)普通凸塊更(geng)多數據的(de)X-Cube封裝技(ji)術(shu),并預計2026年(nian)推出(chu)比(bi)X-Cube處理(li)更(geng)多數據的(de)無凸塊型(xing)封裝技(ji)術(shu)。擁有從存(cun)儲器(qi)(qi)、處理(li)器(qi)(qi)芯片的(de)設計、制造到先進封裝業務組合的(de)優勢。

晶圓代工,戰火蔓延

三星(xing)先(xian)進封裝技術路線圖(圖源:方正*券研究所)

綜合來看,先(xian)進(jin)芯片是(shi)工(gong)藝的(de)決(jue)戰,也(ye)是(shi)先(xian)進(jin)封裝的(de)交鋒。先(xian)進(jin)封裝與制(zhi)程工(gong)藝可(ke)謂相輔(fu)相成,在提高(gao)芯片集成度、加強互聯(lian)、性能優(you)化(hua)的(de)過程中扮演了重要(yao)角色,是(shi)性能持續提升的(de)重要(yao)保障。對于在工(gong)藝節點時(shi)間上的(de)爭奪,先(xian)進(jin)封裝已成為三大巨頭“不可(ke)忽視”變數。

更多先進封(feng)裝(zhuang)詳細技(ji)術細節和廠(chang)商布局(ju)情(qing)況,筆者在此前文(wen)(wen)章《臺積電(dian)“攻(gong)防戰(zhan)”》、《先進封(feng)裝(zhuang)大戰(zhan),升(sheng)級!》中(zhong)有過詳細介紹,更詳細內容可跳轉(zhuan)前文(wen)(wen)了解。

寫在最后

Counterpoint數據顯示(shi),半導(dao)體行業在(zai)2024年(nian)第一季度已顯露出(chu)需(xu)求(qiu)(qiu)復蘇的(de)跡象。行業在(zai)經過連續幾(ji)個季度去庫存后(hou),渠道庫存已經趨(qu)于正(zheng)常化。AI的(de)強勁需(xu)求(qiu)(qiu)和(he)終(zhong)端產品需(xu)求(qiu)(qiu)的(de)復蘇將成為2024年(nian)晶圓代工(gong)行業的(de)主要增長動力。

隨著全球(qiu)經(jing)濟的(de)逐步復(fu)蘇和半導體需求的(de)增(zeng)加,這些確實(shi)可能(neng)會幫助晶圓(yuan)代工廠抓住市場機遇,提高產能(neng)利用(yong)率(lv),并增(zeng)強與客戶的(de)合作關系。

然(ran)而,半導(dao)體行業是一(yi)個高度競爭和(he)快速變化的(de)領域,晶(jing)圓廠需(xu)要不斷創新和(he)調整(zheng)戰略,以適應(ying)市場(chang)的(de)長期變化。此(ci)外,還需(xu)要關注(zhu)全(quan)球供應(ying)鏈的(de)穩定性、技術進(jin)步(bu)以及政策和(he)地緣政治(zhi)因素的(de)影響。

回(hui)到(dao)行(xing)業格局來看,臺積電一(yi)家(jia)的(de)(de)產能占比縱然超過60%,但仍難以完全(quan)維(wei)持龐大的(de)(de)先進制程(cheng)市(shi)場。哪怕三星和英特爾的(de)(de)芯(xin)片(pian)會陷(xian)入性能或良率“滑(hua)鐵盧”的(de)(de)風險,也依舊(jiu)會有廠商在產能和價格因(yin)素的(de)(de)驅動下,愿意去“嘗嘗螃蟹”。

未來,圍(wei)繞(rao)先進工(gong)(gong)藝和(he)先進封裝的技術之(zhi)爭將在(zai)臺積電、三星(xing)和(he)英特爾之(zhi)間長期進行,代工(gong)(gong)三巨頭的拉(la)鋸戰也將成為推動摩爾定力繼(ji)續(xu)前行的動力,推動下一個“彎道”時(shi)刻的到來。

晶(jing)圓 代工 半導(dao)體
評論
還可輸入300個字
專欄介紹
半導體行業觀察
43篇文章
最有深度的半導體新媒體,實訊、專業、原創、深度,50萬半導體精英關注!專注觀察全球半導體最新資訊、技術前沿、發展趨勢。《摩爾精英》《中國集成電路》共同出品,歡迎訂閱摩爾旗下公眾號:摩爾精英MooreElite、摩爾芯聞、摩爾芯球
+關注
400-858-9000
免費服務熱線
郵箱
09:00--20:00
服務時間
投訴電話
投融界App下載
官方微信公眾號
官方微信小程序
Copyright ? 2024 浙江投融界科技有限公司(xxccv.cn) 版權所有 | ICP經營許可證:浙B2-20190547 | | 浙公網安備330號
地址:浙江省杭州市西湖區留下街道西溪路740號7號樓301室
浙江投融界科技有限公司xxccv.cn版權所有 | 用戶協議 | 隱私條款 | 用戶權限
應用版本:V2.7.8 | 更新日期:2022-01-21
 
在線客服
微信訂閱