49714 2nm的競爭:臺積電守,英特爾攻

2018高清国产一区二区三区-国产黄色视频免费在线观看-欧美激情欧美精品一区二区-免费国产一级片内射老妇

服務熱線:400-858-9000 咨詢/投訴熱線:
國內專業的一站式創業服務平臺
2nm的競爭:臺積電守,英特爾攻
AI芯天下 ·

方文三

02/02
當前,芯片制造技術的競爭愈發白熱化。臺積電與英特爾這兩大巨頭在2nm至1nm制程領域爭相推出更先進的制程工藝,力求占據市場先機。
本文來自于微信公眾號“AI芯天下”(ID:World_2078),作者:方文三,投融界經授權發布。

臺積電不再遙遙領先

臺積電憑(ping)借(jie)晶體(ti)管縮小技術始終(zhong)保持行業(ye)領先地位,從180nm到3nm,歷經20年(nian),成功擊敗了(le)99%的競爭對手。

特別是在(zai)28nm工藝(yi)節(jie)點之(zhi)后(hou),通過(guo)FinFET技術逐步拉開與競爭對(dui)手(shou)的差距,14nm以下市場基本(ben)處于(yu)壟(long)斷(duan)狀態。

然而(er),即便如此,臺積電仍需面對摩爾定律(lv)衰減導致的晶體管微(wei)型化難(nan)題。

在此背景下,全周圍(wei)柵極(GAA)技術應運而生,為制程突破提(ti)供了技術可行性。

但(dan)值得(de)注(zhu)意的是,隨著(zhu)制程(cheng)復雜度提升和成(cheng)(cheng)本激增,芯片制造商在推進(jin)技(ji)術(shu)(shu)創(chuang)新的同(tong)時,需兼(jian)顧成(cheng)(cheng)本和可行性。因此,先進(jin)封(feng)裝技(ji)術(shu)(shu)成(cheng)(cheng)為代工廠的另一(yi)核心競爭力。

不幸的(de)(de)是,臺(tai)積電的(de)(de)兩(liang)大(da)競(jing)爭對(dui)手三星和英特(te)爾均掌握了這兩(liang)大(da)關(guan)鍵技術路徑。

錯失太多機會的英特爾

英特(te)爾在(zai)芯片制造方(fang)面相(xiang)較臺積電處于劣勢,導致其無法及(ji)時(shi)推出7納米乃至(zhi)5納米芯片,從而(er)使得產品(pin)性能及(ji)效(xiao)率遜色于競爭對手(shou)。

英(ying)特爾(er)(er)曾試圖采購(gou)EUV光(guang)刻機,然而受(shou)限于(yu)ASML產能有限以(yi)及臺積電的優先發(fa)貨權,使得英(ying)特爾(er)(er)難以(yi)獲得足夠數量的EUV光(guang)刻機,不(bu)得不(bu)耐心(xin)等待。

另一方面,英特爾在芯(xin)片設計領(ling)域亦面臨激烈競爭(zheng),主要對手為(wei)基于(yu)ARM架構的芯(xin)片,如(ru)蘋果M1芯(xin)片、華為(wei)麒麟芯(xin)片等。

這(zhe)些芯片在節能性能上優于英特(te)爾芯片,更符合移(yi)動(dong)設備和云(yun)計算需求,從(cong)而導致(zhi)英特(te)爾芯片在市(shi)場份額及影響力上的下滑(hua)。

2nm的競爭:臺積電守,英特爾攻

英特爾拿起High-NA EUV[沖鋒號]

近日,英特爾已(yi)成功(gong)引入(ru)市(shi)場(chang)首套0.55數值孔徑的ASML極紫(zi)外光刻(ke)機,計劃在未來兩至三年內用于其英特爾 18A工藝技術之后的制程節點。

與此同(tong)時,臺積(ji)電則(ze)采取了(le)更為審慎的策略,業界推測臺積(ji)電可(ke)能會在A1.4制程(cheng)或2030年之后才(cai)引入High-NA EUV光(guang)刻機。

據先前(qian)的報(bao)道,ASML將(jiang)于2024年生產最多10臺新一(yi)代高NA EUV光刻機,其中(zhong)英(ying)特爾(er)預定了多達6臺。

這一決策(ce)表明英特爾在High-NA EUV技術方(fang)面的決心和領先(xian)地位。

業界(jie)分析指出,初期階(jie)段,High-NA EUV的(de)成本可能(neng)高(gao)于Low-NA EUV,這(zhe)是臺積(ji)電暫時觀望(wang)的(de)主要原因。

臺積電更傾向于(yu)采用(yong)成本較低的(de)成熟技術,以(yi)確保產品的(de)市場競(jing)爭力。

然(ran)而,High-NA EUV技術的優勢在于其能夠提供更高的產能和(he)更精細的曝光(guang)尺寸(cun)。

盡(jin)管High-NA EUV需要(yao)更(geng)高的光源(yuan)功率(lv),并(bing)可能(neng)加速投影光學器(qi)件和光罩(zhao)的磨損,但英特爾(er)的這(zhe)一技術突破(po)將為其帶(dai)來(lai)顯(xian)著的優勢。

英特爾(er)此次試圖通過高數(shu)值孔(kong)徑(jing)EUV技術實(shi)現(xian)彎(wan)道超車(che),這與三星2017年率先采用(yong)EUV光刻機、試圖超越臺(tai)積(ji)電7nm工藝的策(ce)略相似。

根據英(ying)特爾的最新公告,采用英(ying)特爾18A工藝制造(zao)的芯片預計將在2024年(nian)(nian)第一季度問世,首(shou)批量產產品(pin)將于(yu)同(tong)年(nian)(nian)下(xia)半年(nian)(nian)上市。

相比之下(xia),臺積電的(de)N2工藝要到(dao)2025年下(xia)半年才實現(xian)量(liang)產(chan)。

從時間上來看,英特爾將領(ling)先(xian)競(jing)爭對手一年。

英特(te)爾作(zuo)為第一家使用高數值(zhi)孔徑工(gong)具進行大(da)規模(mo)生產的公司,將引領晶圓廠工(gong)具生態系(xi)統的發展(zhan)方(fang)向。

求穩的臺積電首次使用GAA

臺積電計劃在2nm制程節點采用GAAFET晶(jing)(jing)體(ti)管,并(bing)在2026年(nian)發布的N2P工藝中引入Nanosheet GAA晶(jing)(jing)體(ti)管,同(tong)時搭載背(bei)面電源軌(gui)技術。制造過程仍(reng)依賴(lai)于現有的EUV光刻技術。

臺積電(dian)在2nm制(zhi)程(cheng)中(zhong)首次應(ying)用GAAFET技術,該技術與3nm和5nm制(zhi)程(cheng)所(suo)采(cai)用的鰭式場效晶(jing)體管(FinFET)架構有所(suo)不同(tong)。

GAAFET架構以環繞閘極(ji)(ji)(GAA)制(zhi)程為基礎,能夠解決FinFET因制(zhi)程微縮(suo)導致的電流控制(zhi)漏(lou)電等物(wu)理極(ji)(ji)限問題。

臺(tai)積電(dian)被視為(wei)一個保守但穩(wen)健的制程技術開發者,傾向于在確保新(xin)技術成熟和可(ke)靠后進行部署,而非急于將新(xin)技術推向市場。

這(zhe)種方(fang)法有(you)助(zhu)于(yu)降(jiang)低技術失敗風(feng)險(xian),提高芯片產(chan)量和質量,確保客戶滿(man)意度。

臺積電謹(jin)慎的(de)方(fang)法(fa)確保(bao)了制(zhi)程技術的(de)穩定性和可預測(ce)性,為客(ke)戶提供高質量芯片(pian)。

此次采用GAA技術無(wu)疑是經過充分準備和規劃,有望使(shi)2nm世代見(jian)證臺積電新一輪的(de)發展壯大。

事實證明,臺積電穩健的策略似(si)乎對(dui)一(yi)切已有充分把握,并在EUV技術達(da)到適當生產成本后(hou),能從ASML手中獲得所需的EUV設備數量。

ASML高(gao)數(shu)值孔徑EUV光(guang)刻(ke)機(ji)的采購及(ji)生產成本(ben)過高(gao),并(bing)不利(li)于(yu)臺積電第一時(shi)間引入該技術以提升優勢(shi)。

同(tong)時,臺(tai)積電與ASML幾乎同(tong)步(bu)開(kai)發高數值孔徑(jing)EUV技術(shu),對設備的掌握度高,因此并不急于(yu)采購。但對于(yu)英特爾而(er)言,這是(shi)不得不做(zuo)出的選擇。

當前(qian),英(ying)特爾采取的策略明智,一方面全力投入2nm節點所需的高數值孔徑EUV技術,另一方面在3nm等(deng)先進制程大量下單臺積電,形成(cheng)進可攻退可守的姿態。

如果2nm技術能比臺積電(dian)更(geng)快推出且具備(bei)更(geng)好的(de)良(liang)率,英特爾將延續傳統榮耀,利用先進制(zhi)造(zao)工藝在產品(pin)上擊敗(bai)競爭對手(shou)。

明年英(ying)特爾剝離代工(gong)制造業務(wu)僅是開始,無論2nm技術投入成(cheng)敗,最終都將成(cheng)為寶貴資(zi)產(chan)。

將決定英特爾未來代工走向

英特爾在2納米技術領域的先發(fa)(fa)制人,不(bu)僅(jin)旨在在后發(fa)(fa)先至(zhi)的戰略中(zhong)占據(ju)優勢(shi),更是決定(ding)了(le)其未來代(dai)工業務走向的關鍵因素。

2納米技術(shu)的(de)(de)量(liang)產對英(ying)特爾的(de)(de)未(wei)來發展具有決定(ding)性的(de)(de)影響,也是(shi)其對臺積電(dian)發起進(jin)攻(gong)的(de)(de)成功(gong)與否(fou)的(de)(de)關鍵節點。

若英(ying)特爾在(zai)2納米節點上(shang)率先(xian)取得(de)優(you)勢(shi),能比臺積電更快速提升良品率,將成為首家采用高數值(zhi)孔(kong)徑EDU并啟動(dong)大規模生產的公(gong)司。

這有(you)望獲得部分客戶的(de)(de)認可和訂單,進而推動其IDM2.0戰(zhan)略(lve)的(de)(de)順利推進,有(you)望在(zai)未來的(de)(de)代(dai)工(gong)市場中超越(yue)三星(xing),邁(mai)向新的(de)(de)巔峰(feng)。

想趕超就要面臨競爭風險

然(ran)(ran)而,英特爾當(dang)前面臨的(de)挑戰依然(ran)(ran)艱巨。一(yi)方(fang)面,高數(shu)值孔(kong)徑(jing)EUV的(de)成本持續高企,試產的(de)高數(shu)值孔(kong)徑(jing)EUV光刻機的(de)造價成本更是(shi)超(chao)過3億美元。

另(ling)一方面,高(gao)數值孔徑EUV設備本(ben)身(shen)還(huan)存(cun)在諸(zhu)多難(nan)題,如光(guang)(guang)源的(de)(de)可支持光(guang)(guang)子散粒噪聲、0.55NA小焦點深度的(de)(de)解決方案、計算(suan)光(guang)(guang)刻能力、掩膜制造和計算(suan)基礎設施,這(zhe)些都需要英特爾投入大量時間(jian)和精力去不斷(duan)優(you)化。

雖然(ran)工(gong)藝領先至關重要(yao),但在(zai)代工(gong)行(xing)業,客戶(hu)支持同樣(yang)不可或(huo)缺。若英特(te)爾能在(zai)合(he)理時間內完成BPD版(ban)本,并吸引(yin)更多客戶(hu),這將成為其新的代工(gong)收入來源。

然而(er),在與業界巨頭如臺(tai)積電的競爭中(zhong),英(ying)特爾需要(yao)關(guan)注(zhu)大量客戶(hu)芯(xin)片的生產,這將是其持續成功的關(guan)鍵。

結尾:

盡管臺積(ji)電在NA EUV光(guang)刻機(ji)方面遭遇失(shi)利,但這(zhe)并不意味著其喪失(shi)了光(guang)刻機(ji)領域的優勢(shi)。

事實上,臺積電依然具備(bei)眾(zhong)多優(you)勢(shi),能夠(gou)鞏固(gu)其在(zai)半導體(ti)產業的領先地位(wei)。

盡(jin)管(guan)英特爾已率先下單,但要真正迎頭趕上并超越(yue)臺積電,仍需付出(chu)更多的(de)努力和(he)時間(jian)。

這兩家公司的競爭將更加白(bai)熱化,同時(shi)也會推動半導(dao)體產業的繁榮與發展。

先進制造 芯(xin)片產業(ye) 企業競(jing)爭(zheng)
評論
還可輸入300個字
專欄介紹
400-858-9000
免費服務熱線
郵箱
09:00--20:00
服務時間
投訴電話
投融界App下載
官方微信公眾號
官方微信小程序
Copyright ? 2024 浙江投融界科技有限公司(xxccv.cn) 版權所有 | ICP經營許可證:浙B2-20190547 | | 浙公網安備330號
地址:浙江省杭州市西湖區留下街道西溪路740號7號樓301室
浙江投融界科技有限公司xxccv.cn版權所有 | 用戶協議 | 隱私條款 | 用戶權限
應用版本:V2.7.8 | 更新日期:2022-01-21
 
在線客服
微信(xin)訂閱